Сипаттаманың тілі - Property Specification Language

Сипаттаманың тілі (ПСЛ) Бұл уақытша логика ұзарту сызықтық уақытша логика экспрессияны жеңілдету үшін де, экспрессивтік қуатты арттыру үшін де операторлар қатары бар. PSL кеңінен қолданады тұрақты тіркестер және синтаксистік шекерлеу. Ол аппараттық құралдарды жобалау және тексеру саласында кеңінен қолданылады, мұнда ресми тексеру құралдар (мысалы модельді тексеру ) және / немесе логикалық модельдеу құралдар PSL формуласының берілген дизайнға сәйкес келетіндігін дәлелдеу немесе жоққа шығару үшін қолданылады.

PSL бастапқыда әзірленген Accellera нақтылау үшін қасиеттері немесе бекітулер аппараттық дизайн туралы. 2004 жылдың қыркүйегінен бастап стандарттау тілде орындалды IEEE 1850 жұмыс тобы. 2005 жылдың қыркүйегінде IEEE 1850 меншікті сипаттау тіліне арналған стандарт (PSL) жарияланды.

Синтаксис және семантика

PSL егер қандай да бір сценарий қазір орын алса, басқа сценарий біраз уақыттан кейін орын алуы мүмкін екенін айта алады. Мысалы, «а сұрау әрқашан болуы керек грант ed «формуласын PSL арқылы білдіруге болады:

  әрқашан (сұраныс -> ақыры! грант)

Меншік »әр сұрау кейін бірден ак белгісі, соңынан толық болуы керек деректерді беру, мұнда деректерді толығымен беру - бұл сигналдан басталатын реттілік бастау, сигналмен аяқталады Соңы онда бос емес әзірге «PSL формуласымен көрсетілуі мүмкін:

  (true [*]; req; ack) | => (бастау; бос [*]; соңы)

Осы формуланы қанағаттандыратын із оң жақтағы суретте келтірілген.

қарапайым із
(true [*]; req; ack) | => (бастау; бос [*]; соңы)

PSL уақытша операторларын шамамен жіктеуге болады LTL стилі операторлары және тұрақты экспрессия стилі операторлар. Көптеген PSL операторлары леп белгісі қосымшасымен көрсетілген екі нұсқада, мықты нұсқада ( ! ) және әлсіз нұсқасы. The күшті нұсқа Болашақ талаптарды қояды (яғни болашақта бір нәрсе болатындығын талап етеді), ал әлсіз нұсқа жоқ. Ан қосымшаның астын сызу ( _ ) ажырату үшін қолданылады қоса алғанда қарсы инклюзивті емес талаптар. Ан және _e жұрнақтары белгілеу үшін қолданылады әмбебап (барлығы) қарсы экзистенциалды (бар) талаптар. Нақты уақыт терезелері арқылы белгіленеді [n] және икемді [м..н].

SERE стиліндегі операторлар

Ең жиі қолданылатын PSL операторы - бұл «суффикс-импликация» операторы («триггерлер» операторы), оны белгілейді |=>. Оның сол операндасы - PSL тұрақты өрнегі, ал оң операнда - PSL формуласы (LTL стилінде болсын немесе тұрақты өрнек стилінде болсын). Семантикасы r | => p әр уақыт нүктесінде i-ге дейінгі уақыт тізбегі r тұрақты өрнегіне сәйкес болатындай етіп, i + 1-ден жол р қасиетін қанағаттандыруы керек. Бұл оң жақтағы суреттерде көрсетілген.

қанағаттанарлық жол r триггерлер қабаттаспайтын екі тәсілмен
қанағаттанарлық жол r триггерлер екі жолмен
қанағаттанарлық жол r үш жолмен р '-ны іске қосады

PSL-дің тұрақты өрнектерінде біріктірудің ортақ операторлары бар (;), Kleene-жабу (*), және одақ (|), сонымен қатар термоядролық оператор (:), қиылысы (\&\&) және әлсіз нұсқасы (\&), және қатарынан санауға арналған көптеген вариациялар [* n] және қатарынан санау, мысалы. [= n] және [-> n].

Триггер операторы төмендегі кестеде көрсетілген бірнеше вариацияда болады.

Мұнда с және т PSL тұрақты тіркестері және б PSL формуласы болып табылады.

 s | => t!
егер s-нің сәйкестігі болса, онда іздің қосымшасында t-тің сәйкестігі бар,
  • t ц цикл аяқталғаннан кейін басталады,
  • t матчі соңына дейін жетуі керек
 s | -> t!
егер s-нің сәйкестігі болса, онда іздің қосымшасында t-тің сәйкестігі бар,
  • t аяқталатын бірдей циклды бастайды,
  • t матчі соңына дейін жетуі керек
 s | => t
егер s-нің сәйкестігі болса, онда іздің қосымшасында t-тің сәйкестігі бар,
  • t ц аяқталғаннан кейін цикл басталады,
  • t матчының ортасында «тұрып қалуы» мүмкін
 s | -> t
егер s-нің сәйкестігі болса, онда іздің қосымшасында t-тің сәйкестігі бар,
  • t аяқталатын бірдей циклды бастайды,
  • t матчының ортасында «тұрып қалуы» мүмкін

Байланыстыру, біріктіру, біріктіру, қиылысу операторлары және олардың вариациялары төмендегі кестеде көрсетілген.

Мұнда с және т PSL тұрақты тіркестері.

с; т s матчынан кейін t, t матчымен жалғасып, s аяқталғаннан кейін цикл басталады
s: t s матчынан кейін t, t матчынан кейін s аяқталатын цикл басталады
s | тматч с немесе матч т
s && t с-тің сәйкестігі және t-тің сәйкестігі, екеуінің де ұзындығы бірдей
s & t с матчі және t матч, ұзақтығы сәйкес келуі мүмкін
т ішінде t матчының ішіндегі s сәйкестігі, ([*]; s; [*]) && (t) аббревиатурасы

Қатарынан қайталауға арналған операторлар төмендегі кестеде көрсетілген.

Мұнда с бұл PSL тұрақты өрнегі.

s [* i] мен қайталанатын с
s [* i..j] i-ден j-ге дейінгі қайталанулар арасында
s [* i ..] кем дегенде i-нің қайталанатын қайталануы
с [*] с-тың нөлдік немесе одан да көп қайталануы
с [+] лардың бір немесе бірнеше рет қайталануы

Бірізді емес қайталауға арналған операторлар төмендегі кестеде көрсетілген.

Мұнда б бұл кез-келген PSL логикалық өрнегі.

b [= i] мен міндетті түрде b қайталануы міндетті емес,
  • баламасы (! b [*]; b) [* i]; ! b [*]
b [= i..j]кем дегенде i және j-ден көп емес, міндетті түрде b қайталануы қажет,
  • баламасы (! b [*]; b) [* i..j]; ! b [*]
b [= i ..] кем дегенде, мен міндетті түрде b қайталануы міндетті емес,
  • баламасы (! b [*]; b) [* i ..]; ! b [*]
b [-> м]m b-мен аяқталатын b қайталануы міндетті емес,
  • баламасы (! b [*]; b) [* m]
b [-> m: n] кем дегенде m және n-ден көп емес, міндетті түрде b-мен аяқталатын b қайталануы қажет,
  • баламасы (! b [*]; b) [* m..n]
b [-> м ..] кем дегенде m міндетті түрде b-мен аяқталатын b қайталануы міндетті емес,
  • баламасы (! b [*]; b) [* m ..]; ! b [*]
b [->] b [-> 1] сілтемесі,
  • баламасы (! b [*]; b)

LTL стиліндегі операторлар

Төменде PSL стиліндегі кейбір операторлардың үлгісі келтірілген.

Мұнда б және q кез келген PSL формулалары болып табылады.

әрқашан бp қасиеті әр уақыт нүктесінде болады
ешқашан бp қасиеті кез келген уақытта орындалмайды
соңында! бр болатын болашақ уақыт нүктесі бар
Келесі! бкелесі уақыт нүктесі бар, және p осы нүктеге сәйкес келеді
келесі бегер келесі уақыт нүктесі болса, онда p осы нүктеге сәйкес келеді
келесі! [n] бn-ші уақыт нүктесі бар, ал p осы нүктеге сәйкес келеді
келесі [n] бегер n-ші уақыт нүктесі болса, онда p осы нүктеге сәйкес келеді
келесі_е! [м..н] бр-ны ұстайтын токтан m-шіден n-шіге дейінгі уақыт нүктесі бар.
келесі_е [м..н] бегер кем дегенде n-ші уақыт нүктелері болса, онда p m-ші-n-ші нүктелердің біреуінде болады.
келесі_а! [м..н] бm-ден n-шіге дейінгі уақыт аралығындағы барлық уақыттарда кем дегенде n артық уақыт нүктелері бар және p орын алады.
келесі_а [м..н] бp барлық келесі m-ші және n-ші уақыт аралығында болады, дегенмен олардың көпшілігі бар
p дейін! q$ q $, ал $ p $ осы уақытқа дейін ұстап тұратын уақыт нүктесі бар
p дейін qp, егер бар болса, q ұстайтын уақыт нүктесіне дейін ұстап тұрады
p дейін! _ qq нүктесі бар уақыт нүктесі бар, ал p сол уақыт нүктесіне дейін және сол уақыт нүктесінде болады
p дейін_ q$ q $ орындайтын уақыт нүктесіне дейін, ал егер бар болса, осы уақыт аралығында сақталады
p бұрын! q$ p $ q ұстайтын уақыт нүктесінен бұрын қатаң түрде сақталады, ал соңында $ p $ орындалады
p q-ға дейін$ q $ орындалатын уақыт нүктесінен бұрын қатаң түрде сақталады, егер $ p $ ешқашан орындалмаса, $ q $ да болмайды
p бұрын! _ q$ p $ q ұстайтын нүктеге дейін немесе сол уақытта, ал соңында $ p $ орындайды
p алдындағы_қ$ p $ ұстап тұрған нүктеге дейін немесе сол уақытта, егер $ p ешқашан орындалмаса, онда q да болмайды

Іріктеу операторы

Кейде. Анықтамасын өзгерткен жөн келесі уақыт, мысалы, көп сағаттық дизайнда немесе абстракцияның жоғары деңгейі қажет болғанда. The таңдау операторы (а.к.а.) сағат операторы) деп белгіленеді @, осы мақсатта қолданылады. Формула p @ c қайда б - бұл PSL формуласы және в бұл жолда PSL логикалық өрнектері орындалады, егер б циклдар бойынша жобаланған сол жолда в оң жақтағы суреттерде көрсетілгендей ұстайды.

таңдау операторына қажеттілікті көрсететін жол мен формула

Бірінші қасиетте «әрбір сұрау кейін бірден ак белгісі, соңынан толық болуы керек деректерді беру, мұнда деректерді толығымен беру - бұл сигналдан басталатын реттілік бастау, сигналмен аяқталады Соңы онда деректер кем дегенде 8 рет өткізуі керек:

  ((true [*]; req; ack) | => (бастау; деректер [= 8]; аяқталу)

Бірақ кейде жоғарыда көрсетілген сигналдар циклде болатын жағдайларды ғана қарастырған жөн клк жоғары. Бұл формула болғанымен екінші суретте бейнеленген

  ((true [*]; req; ack) | => (бастау; деректер [* 3]; соңы) @ clk

қолданады деректер [* 3] және [* n] қатарынан қайталау болып табылады, сәйкес келетін ізде 3 қатар емес уақыт нүктесі бар, мұнда деректер ұстайды, бірақ тек уақытты қарастырғанда қайда клк ұстайды, уақыт қайда екенін көрсетеді деректер қатарынан ұстап тұру.

@ таңдау операторының әсерін көрсететін жол және формула

Кірістірілген @ формулаларының семантикасы сәл нәзік. Мүдделі оқырманға сілтеме жасалады [2].

Операторларды тоқтату

PSL-де қысқартылған жолдармен жұмыс істейтін бірнеше операторлар бар (есептеу префиксіне сәйкес келуі мүмкін ақырғы жолдар). Қысқартылған жолдар қалпына келтіруге және басқа да көптеген сценарийлерге байланысты шектелген модельді тексеру кезінде пайда болады. Аборт операторлары, жол қысқартылған кезде, оқиғаның қалай шешілетінін анықтаңыз. Олар [1] ұсынылған қысқартылған семантикаға сүйенеді.

Мұнда б кез келген PSL формуласы және б бұл кез-келген PSL логикалық өрнегі.

p async_abort b не b ұстап тұрғанға дейін не p жұмыс істемейді;
  • б асинхронды түрде танылды
p sync_abort b не b ұстап тұрғанға дейін не p жұмыс істемейді;
  • b синхронды түрде танылады
б тастау b p async_abort баламасы b

Мәнерлі күш

PSL уақытша логиканы тұжырымдайды LTL және өзінің экспрессивтік күшін омега-тұрақты тілдер. Жұлдызсыз ω-тұрақты өрнектердің экспрессивтік күшіне ие LTL-мен салыстырғанда экспрессивтік күштің ұлғаюын жұрнақ, а. триггерлер «| ->» деп белгіленген оператор. Формула r | -> f қайда р тұрақты тіркес және f уақыт бойынша логикалық формула есептеуде болады w кез келген префиксі болса w сәйкестендіру р жалғасы қанағаттандырады f. PSL басқа LTL емес операторлары болып табылады @ оператор, көп сағаттық дизайнды нақтылау үшін тоқтату жабдықты қалпына келтіру мәселелерімен айналысатын операторлар және жергілікті айнымалылар қысқалығы үшін.

Қабаттар

PSL 4 қабатта анықталады: Буль қабаты, уақытша қабат, модельдеу қабаты және тексеру қабаты.

  • The Буль қабаты дизайнның ағымдағы күйін сипаттау үшін қолданылады және жоғарыда аталған HDL дискілерінің бірін қолдана отырып тұжырымдалады.
  • The уақытша қабат уақыт бойынша жүретін сценарийлерді сипаттау үшін қолданылатын уақытша операторлардан тұрады (мүмкін, уақыт бірлігінің шексіз санынан).
  • The модельдеу қабаты көмекші күй машиналарын процедуралық сипаттау үшін қолдануға болады.
  • The тексеру қабаты тексеру құралына арналған директивалардан тұрады (мысалы бекіту берілген қасиеттің дұрыс немесе болжау қасиеттердің белгілі бір жиынтығы басқа қасиеттер жиынтығын тексеру кезінде дұрыс болатындығы).

Тіл үйлесімділігі

Сипаттаманың тілі бірнеше электрондық жүйелерді жобалау тілдерінде (HDL) қолданыла алады, мысалы:

PSL жоғарыдағы HDL-дің бірімен бірге қолданылған кезде оның логикалық қабаты тиісті HDL операторларын қолданады.

Әдебиеттер тізімі

  • 1850-2005 - IEEE меншік сипаттамасы тілінің стандарты (PSL). 2005. дои:10.1109 / IEEESTD.2005.97780. ISBN  0-7381-4780-X.
  • 1850-2010 - IEEE меншік сипаттамасы тілінің стандарты (PSL). 2010. дои:10.1109 / IEEESTD.2010.5446004. ISBN  978-0-7381-6255-3.
  • Эйзнер, Синди; Фишман, Дана; Хавличек, Джон; Лустиг, Йоад; Макисак, Энтони; Ван Кампенхут, Дэвид (2003). «Қысқартылған жолдар бойынша уақытша логикаға жүгіну» (PDF). Компьютер көмегімен тексеру. Информатика пәнінен дәрістер. 2725. б. 27. дои:10.1007/978-3-540-45069-6_3. ISBN  978-3-540-40524-5.
  • Эйзнер, Синди; Фишман, Дана; Хавличек, Джон; Макисак, Энтони; Ван Кампенхут, Дэвид (2003). «Уақытша сағат операторының анықтамасы» (PDF). Автоматтар, тілдер және бағдарламалау. Информатика пәнінен дәрістер. 2719. б. 857. дои:10.1007/3-540-45061-0_67. ISBN  978-3-540-40493-4.

Сыртқы сілтемелер

PSL туралы кітаптар